Follow
Yao-Wen Chang
Title
Cited by
Cited by
Year
B*-trees: A new representation for non-slicing floorplans
YC Chang, YW Chang, GM Wu, SW Wu
Proceedings of the 37th Annual Design Automation Conference, 458-463, 2000
7102000
Electronic design automation: synthesis, verification, and test
LT Wang, YW Chang, KTT Cheng
Morgan Kaufmann, 2009
3432009
NTUplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints
TC Chen, ZW Jiang, TC Hsu, HC Chen, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
3342008
TCG: A transitive closure graph-based representation for non-slicing floorplans
JM Lin, YW Chang
Proceedings of the 38th annual Design Automation Conference, 764-769, 2001
3252001
Method of analytical placement with weighted-average wirelength model
V Balabanov, MK Hsu, YW Chang
US Patent 8,689,164, 2014
2382014
Universal switch modules for FPGA design
YW Chang, DF Wong, CK Wong
ACM Transactions on Design Automation of Electronic Systems (TODAES) 1 (1 …, 1996
2291996
BioRoute: A network-flow-based routing algorithm for the synthesis of digital microfluidic biochips
PH Yuh, CL Yang, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
2002008
Modern floorplanning based on B/sup*/-tree and fast simulated annealing
TC Chen, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2006
1772006
TCG-S: Orthogonal coupling of P*-admissible representations for general floorplans
JM Lin, YW Chang
Proceedings of the 39th Annual Design Automation Conference, 842-847, 2002
1222002
TSV-aware analytical placement for 3D IC designs
MK Hsu, YW Chang, V Balabanov
Proceedings of the 48th Design Automation Conference, 664-669, 2011
1192011
Recent research and emerging challenges in physical design for manufacturability/reliability
CW Lin, MC Tsai, KY Lee, TC Chen, TC Wang, YW Chang
2007 Asia and South Pacific Design Automation Conference, 238-243, 2007
1182007
A novel layout decomposition algorithm for triple patterning lithography
SY Fang, YW Chang, WY Chen
Proceedings of the 49th Annual Design Automation Conference, 1185-1190, 2012
1072012
An integer linear programming based routing algorithm for flip-chip design
JW Fang, CH Hsu, YW Chang
Proceedings of the 44th annual Design Automation Conference, 606-611, 2007
1022007
Analog placement based on symmetry-island formulation
PH Lin, YW Chang, SC Lin
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2009
992009
A high-quality mixed-size analytical placer considering preplaced blocks and density constraints
TC Chen, ZW Jiang, TC Hsu, HC Chen, YW Chang
Proceedings of the 2006 IEEE/ACM International Conference on Computer-Aided …, 2006
992006
Placement of defect-tolerant digital microfluidic biochips using the T-tree formulation
PH Yuh, CL Yang, YW Chang
ACM Journal on Emerging Technologies in Computing Systems (JETC) 3 (3), 13-es, 2007
982007
Voltage island aware floorplanning for power and timing optimization
WP Lee, HY Liu, YW Chang
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
952006
Crosstalk-driven interconnect optimization by simultaneous gate and wire sizing
IHR Jiang, YW Chang, JY Jou
IEEE Transactions on computer-aided design of integrated circuits and …, 2000
942000
TSV-aware analytical placement for 3-D IC designs based on a novel weighted-average wirelength model
MK Hsu, V Balabanov, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
922013
Modern floorplanning based on fast simulated annealing
TC Chen, YW Chang
Proceedings of the 2005 international symposium on Physical design, 104-112, 2005
912005
The system can't perform the operation now. Try again later.
Articles 1–20