Follow
Seungwon Kim
Title
Cited by
Cited by
Year
METRICS2. 1 and Flow Tuning in the IEEE CEDA Robust Design Flow and OpenROAD ICCAD Special Session Paper
J Jung, AB Kahng, S Kim, R Varadarajan
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
162021
GRASP based metaheuristics for layout pattern classification
M Woo, S Kim, S Kang
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 512-518, 2017
132017
DATC RDF-2021: Design Flow and Beyond ICCAD Special Session Paper
J Chen, IHR Jiang, J Jung, AB Kahng, S Kim, VN Kravets, YL Li, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-6, 2021
102021
Fast predictive useful skew methodology for timing-driven placement optimization
S Kim, SG Do, S Kang
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
102017
RosettaStone: Connecting the Past, Present, and Future of Physical Design Research
AB Kahng, M Kim, S Kim, M Woo
IEEE Design & Test 39 (5), 70-78, 2022
72022
Machine Learning Framework for Early Routability Prediction with Artificial Netlist Generator
D Kim, H Kwo, SY Lee, S Kim, M Woo, S Kang
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp …, 2021
62021
Enhanced Power Delivery Pathfinding for Emerging 3-D Integration Technology
AB Kahng, S Kang, S Kim, B Xu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1-14, 2020
62020
Power delivery pathfinding for emerging die-to-wafer integration technology
AB Kahng, S Kang, S Kim, K Samadi, B Xu
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 842-847, 2019
62019
Analysis and reduction of voltage noise of multi-layer 3D IC with PEEC-based PDN and frequency-dependent TSV models
S Kim, KJ Han, S Kang, Y Kim
2014 International SoC Design Conference (ISOCC), 124-125, 2014
62014
Novel adaptive power gating strategy of TSV-based multi-layer 3D IC
S Kim, S Kang, KJ Han, Y Kim
Sixteenth International Symposium on Quality Electronic Design, 537-541, 2015
42015
Power integrity coanalysis methodology for multi-domain high-speed memory systems
S Kim, KJ Han, Y Kim, S Kang
IEEE Access 7, 95305-95313, 2019
32019
A preliminary analysis of domain coupling in package power distribution network
B Bae, S Kim, Y Kim, S Kang, IJ Kim, K Kim, S Kang, KJ Han
2017 IEEE International Symposium on Radio-Frequency Integration Technology …, 2017
32017
An optimal operating point by using error monitoring circuits with an error-resilient technique
J Lee, S Kim, Y Kim, S Kang
2015 IFIP/IEEE International Conference on Very Large Scale Integration …, 2015
32015
Fast chip-package-PCB coanalysis methodology for power integrity of multi-domain high-speed memory: A case study
S Kim, KJ Han, Y Kim, S Kang
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 885-888, 2018
22018
Analysis and reduction of the voltage noise of multi-layer 3D IC with multi-paired power delivery network
S Kim, Y Kim
IEICE Electronics Express 14 (18), 20170792-20170792, 2017
22017
Identification of parameter domain for the design of high-speed I/O interface
S Kim, Y Kim, KJ Han
2015 IEEE Electrical Design of Advanced Packaging and Systems Symposium …, 2015
22015
Skew control methodology for useful-skew implementation
SG Do, S Kim, S Kang
2016 International SoC Design Conference (ISOCC), 221-222, 2016
12016
Novel Adaptive Power-Gating Strategy and Tapered TSV Structure in Multilayer 3D IC
S Kim, S Kang, KJ Han, Y Kim
ACM Transactions on Design Automation of Electronic Systems (TODAES) 21 (3 …, 2016
2016
The system can't perform the operation now. Try again later.
Articles 1–18