Follow
Sean D. Burns
Sean D. Burns
Senior Manager, IBM
Verified email at us.ibm.com
Title
Cited by
Cited by
Year
Sidewall image transfer process employing a cap material layer for a metal nitride layer
JC Arnold, SD Burns, ME Colburn, DV Horak, Y Yin
US Patent 8,298,954, 2012
1782012
Direct measurement of the reaction front in chemically amplified photoresists
EK Lin, CL Soles, DL Goldfarb, BC Trinque, SD Burns, RL Jones, ...
Science 297 (5580), 372-375, 2002
1232002
A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI
KI Seo, B Haran, D Gupta, D Guo, T Standaert, R Xie, H Shang, E Alptekin, ...
2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical …, 2014
1082014
22 nm technology compatible fully functional 0.1 μm2 6T-SRAM cell
BS Haran, A Kumar, L Adam, J Chang, V Basker, S Kanakasabapathy, ...
2008 IEEE International Electron Devices Meeting, 1-4, 2008
962008
Sidewall image transfer process
Y Yin, JC Arnold, ME Colburn, SD Burns
US Patent 8,883,649, 2014
672014
FINFET technology featuring high mobility SiGe channel for 10nm and beyond
D Guo, G Karve, G Tsutsui, KY Lim, R Robison, T Hook, R Vega, D Liu, ...
2016 IEEE Symposium on VLSI Technology, 1-2, 2016
652016
Self aligning via patterning
JC Arnold, SD Burns, SK Kanakasabapathy, Y Yin
US Patent 8,298,943, 2012
562012
Silicon containing TARC/barrier layer
WS Huang, SD Burns, PR Varanasi
US Patent 7,320,855, 2008
562008
Silicon containing polymer in applications for 193-nm high-NA lithography processes
S Burns, D Pfeiffer, A Mahorowala, K Petrillo, A Clancy, K Babich, ...
Advances in Resist Technology and Processing XXIII 6153, 201-212, 2006
502006
Advancements to the critical ionization dissolution model
SD Burns, GM Schmid, PC Tsiartas, CG Willson, L Flanagin
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2002
502002
Understanding molecular-level effects during post-exposure processing
GM Schmid, MD Smith, CA Mack, VK Singh, SD Burns, CG Willson
Advances in Resist Technology and Processing XVIII 4345, 1037-1047, 2001
442001
Effects of etch barrier densification on step and flash imprint lithography
S Johnson, R Burns, EK Kim, M Dickey, G Schmid, J Meiring, S Burns, ...
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2005
412005
Mesoscale modeling for SFIL simulating polymerization kinetics and densification
RL Burns, SC Johnson, GM Schmid, EK Kim, MD Dickey, J Meiring, ...
Emerging Lithographic Technologies Viii 5374, 348-360, 2004
382004
Fundamental investigation of negative tone development (NTD) for the 22nm node (and beyond)
G Landie, Y Xu, S Burns, K Yoshimoto, M Burkhardt, L Zhuang, K Petrillo, ...
Advances in Resist Materials and Processing Technology XXVIII 7972, 51-62, 2011
372011
Vertical-transport nanosheet technology for CMOS scaling beyond lateral-transport devices
H Jagannathan, B Anderson, CW Sohn, G Tsutsui, J Strane, R Xie, S Fan, ...
2021 IEEE International Electron Devices Meeting (IEDM), 26.1. 1-26.1. 4, 2021
312021
Topcoat material and use thereof in immersion lithography processes
RA David, PJ Brock, SD Burns, DL Goldfarb, D Medeiros, D Pfeiffer, ...
US Patent 7,521,172, 2009
312009
Dual hard mask lithography process
JC Arnold, SD Burns, SJ Holmes, DV Horak, M Sankarapandian, Y Yin
US Patent 8,916,337, 2014
302014
EUV lithography at the 22nm technology node
O Wood, CS Koay, K Petrillo, H Mizuno, S Raghunathan, J Arnold, ...
Extreme Ultraviolet (EUV) Lithography 7636, 558-565, 2010
302010
Self aligning via patterning
JC Arnold, SD Burns, SK Kanakasabapathy, Y Yin
US Patent 8,518,824, 2013
292013
Graded spin-on organic antireflective coating for photolithography
CJ Brodsky, SD Burns, DL Goldfarb, M Lercel, DR Medeiros, D Pfeiffer, ...
US Patent 7,816,069, 2010
292010
The system can't perform the operation now. Try again later.
Articles 1–20