Follow
Siddhartha Raman
Siddhartha Raman
Other namesS.Siddhartha Raman, Siddhartha Raman Sundara Raman, S.R.Sundara Raman
Verified email at utexas.edu
Title
Cited by
Cited by
Year
Ising-CIM: A reconfigurable and scalable compute within memory analog Ising accelerator for solving combinatorial optimization problems
S Xie, SRS Raman, C Ni, M Wang, M Yang, JP Kulkarni
IEEE Journal of Solid-State Circuits 57 (11), 3453-3465, 2022
142022
Ultra-low-voltage UTBB-SOI-based, pseudo-static storage circuits for cryogenic CMOS applications
SST Nibhanupudi, SRS Raman, M Cassé, L Hutin, JP Kulkarni
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 7 …, 2021
112021
Compute-in-eDRAM with backend integrated indium gallium zinc oxide transistors
SRS Raman, S Xie, JP Kulkarni
2021 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2021
112021
Enabling In-memory computations in non-volatile SRAM designs
SRS Raman, SST Nibhanupudi, JP Kulkarni
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 12 (2 …, 2022
72022
Threshold selector and capacitive coupled assist techniques for write voltage reduction in metal–ferroelectric–metal field-effect transistor
SRS Raman, SST Nibhanupudi, AK Saha, S Gupta, JP Kulkarni
IEEE Transactions on Electron Devices 68 (12), 6132-6138, 2021
72021
Phase transition material-assisted low-power SRAM design
SST Nibhanupudi, SRS Raman, JP Kulkarni
IEEE Transactions on Electron Devices 68 (5), 2281-2288, 2021
72021
IGZO CIM: Enabling In-memory computations using multilevel Capacitorless indium–gallium–zinc–oxide-based embedded DRAM technology
SRS Raman, S Xie, JP Kulkarni
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 8 …, 2022
62022
High noise margin, digital logic design using josephson junction field-effect transistors for cryogenic computing
SRS Raman, F Wen, R Pillarisetty, V De, JP Kulkarni
IEEE Transactions on Applied Superconductivity 31 (5), 1-5, 2021
42021
Pt/Cu: ZnO/Nb: STO memristive dual port for cache memory applications
PKR Boppidi, SS Raman, H Renuka, S Kundu
AIP Conference Proceedings 2265 (1), 2020
22020
A Review on Non-Volatile and Volatile Emerging Memory Technologies
SRS Raman
Computer Memory and Data Storage, 2024
12024
SACHI: A Stationarity-Aware, All-Digital, Near-Memory, Ising Architecture
SRS Raman, LK John, JP Kulkarni
2024 IEEE International Symposium on High-Performance Computer Architecture …, 2024
2024
NEM-GNN-DAC/ADC-less, scalable, reconfigurable, graph and sparsity-aware near-memory accelerator for graph neural networks
SRS Raman, L John, JP Kulkarni
ACM Transactions on Architecture and Code Optimization, 2024
2024
A Robust, Efficient FPGA based implementation of edge detection using Sobel mask
S Raman, R Gottipati
Asian Journal For Convergence In Technology (AJCT) ISSN-2350-1146, 2018
2018
ii Special Topic on Oxide Electronics for Beyond CMOS Logic and Memory by DE Nikonov PAPERS
SRS Raman, S Xie, JP Kulkarni, S Narla, P Kumar, AF Laguna, D Reis, ...
The system can't perform the operation now. Try again later.
Articles 1–14