Follow
Radu Marculescu
Title
Cited by
Cited by
Year
Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives
R Marculescu, UY Ogras, LS Peh, NE Jerger, Y Hoskote
IEEE Transactions on computer-aided design of integrated circuits and …, 2008
8982008
Energy-and performance-aware mapping for regular NoC architectures
J Hu, R Marculescu
IEEE Transactions on computer-aided design of integrated circuits and …, 2005
8842005
Energy-aware mapping for tile-based NoC architectures under performance constraints
J Hu, R Marculescu
Proceedings of the 2003 Asia and South Pacific Design Automation Conference …, 2003
8002003
DyAD: smart routing for networks-on-chip
J Hu, R Marculescu
Proceedings of the 41st annual Design Automation Conference, 260-263, 2004
7342004
" It's a small world after all": NoC performance optimization via long-range link insertion
UY Ogras, R Marculescu
IEEE Transactions on very large scale integration (VLSI) systems 14 (7), 693-706, 2006
5192006
Key research problems in NoC design: a holistic perspective
UY Ogras, J Hu, R Marculescu
Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware …, 2005
4902005
Electronic textiles: A platform for pervasive computing
D Marculescu, R Marculescu, NH Zamora, P Stanley-Marbell, PK Khosla, ...
Proceedings of the IEEE 91 (12), 1995-2018, 2003
4372003
Exploiting the routing flexibility for energy/performance aware mapping of regular NoC architectures
J Hu, R Marculescu
2003 Design, Automation and Test in Europe Conference and Exhibition, 688-693, 2003
3842003
Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints
J Hu, R Marculescu
Proceedings Design, Automation and Test in Europe Conference and Exhibition …, 2004
3522004
On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches
HG Lee, N Chang, UY Ogras, R Marculescu
ACM Transactions on Design Automation of Electronic Systems (TODAES) 12 (3 …, 2008
3482008
System-level buffer allocation for application-specific networks-on-chip router design
J Hu, UY Ogras, R Marculescu
IEEE Transactions on Computer-Aided Design of integrated circuits and …, 2006
2532006
On-chip traffic modeling and synthesis for MPEG-2 video applications
GV Varatkar, R Marculescu
IEEE Transactions on very large scale integration (VLSI) systems 12 (1), 108-119, 2004
2532004
Application-specific buffer space allocation for networks-on-chip router design
J Hu, R Marculescu
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004 …, 2004
2392004
Energy-and performance-aware incremental mapping for networks on chip with multiple voltage levels
CL Chou, UY Ogras, R Marculescu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
2262008
Towards on-chip fault-tolerant communication
T Dumitraş, S Kerner, R Mărculescu
Proceedings of the 2003 Asia and South Pacific Design Automation Conference …, 2003
2132003
Voltage-frequency island partitioning for GALS-based networks-on-chip
UY Ogras, R Marculescu, P Choudhary, D Marculescu
Proceedings of the 44th Annual Design Automation Conference, 110-115, 2007
2072007
Contention-aware application mapping for network-on-chip communication architectures
CL Chou, R Marculescu
2008 IEEE international conference on computer design, 164-169, 2008
2042008
Application-specific network-on-chip architecture customization via long-range link insertion
UY Ogras, R Marculescu
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005 …, 2005
1822005
Architecting voltage islands in core-based system-on-a-chip designs
J Hu, Y Shin, N Dhanwada, R Marculescu
Proceedings of the 2004 international symposium on Low power electronics and …, 2004
1752004
Switching activity analysis considering spatiotemporal correlations
R Marculescu, D Marculescu, M Pedram
Proceedings of the 1994 IEEE/ACM international conference on Computer-aided …, 1994
1721994
The system can't perform the operation now. Try again later.
Articles 1–20