Follow
Takao Onoye
Takao Onoye
Verified email at ist.osaka-u.ac.jp
Title
Cited by
Cited by
Year
An object code compression approach to embedded processors
Y Yoshida, BY Song, H Okuhata, T Onoye, I Shirakawa
Proceedings of the 1997 international symposium on Low power electronics and …, 1997
1091997
Neutron induced single event multiple transients with voltage scaling and body biasing
R Harada, Y Mitsuyama, M Hashimoto, T Onoye
2011 International Reliability Physics Symposium, 3C. 4.1-3C. 4.5, 2011
792011
Adaptive performance compensation with in-situ timing error predictive sensors for subthreshold circuits
H Fuketa, M Hashimoto, Y Mitsuyama, T Onoye
IEEE Transactions on very large scale integration (VLSI) systems 20 (2), 333-343, 2011
772011
VLSI implementation of inverse discrete cosine transformer and motion compensator for MPEG2 HDTV video decoding
T Masaki, Y Morimoto, T Onoye, I Shirakawa
IEEE transactions on circuits and systems for video technology 5 (5), 387-395, 1995
771995
High-performance multiplierless transform architecture for HEVC
W Zhao, T Onoye, T Song
2013 IEEE International Symposium on Circuits and Systems (ISCAS), 1668-1671, 2013
542013
Spatiotemporal segmentation for compact video representation
J Fan, J Yu, G Fujita, T Onoye, L Wu, I Shirakawa
Signal processing: Image communication 16 (6), 553-566, 2001
502001
Hierarchical structure-based fast mode decision for H. 265/HEVC
W Zhao, T Onoye, T Song
IEEE Transactions on Circuits and Systems for Video Technology 25 (10), 1651 …, 2015
492015
Coarse-grained dynamically reconfigurable architecture with flexible reliability
D Alnajiar, Y Ko, T Imagawa, H Konoura, M Hiromoto, Y Mitsuyama, ...
2009 International Conference on Field Programmable Logic and Applications …, 2009
492009
All-digital ring-oscillator-based macro for sensing dynamic supply noise waveform
Y Ogasahara, M Hashimoto, T Onoye
IEEE journal of solid-state circuits 44 (6), 1745-1755, 2009
492009
Non-contact respiration monitoring and body movements detection for sleep using thermal imaging
P Jakkaew, T Onoye
Sensors 20 (21), 6307, 2020
472020
Multi-mode and multi-level technologies for FeRAM embedded reconfigurable hardware
K Asari, Y Mitsuyama, T Onoye, I Shirakawa, H Hirano, T Honda, T Otsuki, ...
1999 IEEE International Solid-State Circuits Conference. Digest of Technical …, 1999
451999
JPEG2000 adaptive rate control for embedded systems
T Masuzaki, H Tsutsui, T Izumi, T Onoye, Y Nakamura
2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat …, 2002
442002
Application of the real-time Retinex image enhancement for endoscopic images
H Okuhata, H Nakamura, S Hara, H Tsutsui, T Onoye
2013 35th Annual International Conference of the IEEE Engineering in …, 2013
422013
Validation of a full-chip simulation model for supply noise and delay dependence on average voltage drop with on-chip delay measurement
Y Ogasahara, T Enami, M Hashimoto, T Sato, T Onoye
IEEE Transactions on Circuits and Systems II: Express Briefs 54 (10), 868-872, 2007
422007
Implementing flexible reliability in a coarse-grained reconfigurable architecture
D Alnajjar, H Konoura, Y Ko, Y Mitsuyama, M Hashimoto, T Onoye
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (12 …, 2012
392012
High-speed implementation of JBIG arithmetic coder
M Tarui, M Oshita, T Onoye, I Shirakawa
Proceedings of IEEE. IEEE Region 10 Conference. TENCON 99.'Multimedia …, 1999
391999
Measurement circuits for acquiring SET pulse width distribution with sub-FO1-inverter-delay resolution
R Harada, Y Mitsuyama, M Hashimoto, T Onoye
IEICE Transactions on Fundamentals of Electronics, Communications and …, 2010
382010
Multi-time scale energy management framework for smart PV systems mixing fast and slow dynamics
D Watari, I Taniguchi, H Goverde, P Manganiello, E Shirazi, F Catthoor, ...
Applied Energy 289, 116671, 2021
352021
An oscillator-based true random number generator with jitter amplifier
T Amaki, M Hashimoto, T Onoye
2011 IEEE International Symposium of Circuits and Systems (ISCAS), 725-728, 2011
342011
Neutron-induced soft errors and multiple cell upsets in 65-nm 10T subthreshold SRAM
H Fuketa, M Hashimoto, Y Mitsuyama, T Onoye
IEEE Transactions on Nuclear Science 58 (4), 2097-2102, 2011
332011
The system can't perform the operation now. Try again later.
Articles 1–20